Approaches to Test Generation

A variety of methods are available to set up transactors for specific tests, some of the more popular methods are introduced here.

File Read Method

This method is very common, especially in commercially available testbenches.

The transactor reads commands from an input file and executes them, creating stimulus and verifying responses for the testbench. For each simulation the input files for the simulated test need to be copied into the working directory. Usually this method is combined with a pre-processor which generates the input files, as described in the perl section.

File Read Method

Some problems of this approach are:

Some of the benefits are:


previous Index next